取消

推送:S9971AS-0省市县区域/直送2023全+境+派+送

参数
  • PLC产品产品特性
  • 是否进口
  • 法国产地
福建 闽侯县 3天内发货 3个
福州卓凯电子科技有限公司 2年
进入店铺 在线咨询
产品详情

福州卓凯电子科技有限公司


主营产品:DCS集散式控制系统、PLC可编程控制器、数控系统、


(CPU处理器、模块、卡件、控制器、伺服驱动、工作站、驱动器、


马达、 内存卡、 电源,机器人备件等)各类工控产品


主要业务: AB罗克韦尔 1756,1747,1746,1769,  1794,1734系列 PLC CPU,


Siemens 6DD,6FC,6SN,6FC,6S5系列,Foxboro系统卡件,


Triconex系统模块,Rexroth力士乐全系列产品,Modicon停产模块 等各类工控产品


Schneider(施耐德) 140系列 170系列 TSX系列  PLC,CPU




Kokusai Electric FC630300350-C057 Wafer Transfer Assembly Zestone DD-1203V As-Is

Kokusai Electric IL100(32) Zestone DD-1203V 300mm Control Chassis Used Working

Kokusai Electric IL100B (32) Control Chassis Zestone DD-1203V 300mm Used Working

Kokusai Electric IL100B(64) Control Chassis Zestone DD-1203V 300mm Used Working

Kokusai Electric T2DC2-10543 Switching Panel D-Poly-Si

Kokusai Electric T2DC6-11806 Hot N2 Unit TPG-Z-OHV 042-323-5400 Used Working

Kokusai Electric T2DD7-16857 Vertron Wafer Rotation Assembly T2DC6-12223 As-Is

Kokusai Electric Tweezer End Effector Zestone DD-1203V Used Working

Kokusai Furnace CPU Board PCB DIE01294A KBCPU9/A1 Used Working

Kokusai KBC CPU-6 PCB D1E01133 Used Working

Kokusai SCOM2A PCB 87W19 D1E012238 Used Working

Kokusai VDF Vertron Controller CX1307 Used Working

Kokusai Vertron DIE01281 Control Board PCB DIOA Used Working

Kollmorgen 00-00907-002 ServoDisc DC Motor Varian Semiconductor 3500005

Kollmorgen 00-01607-043 DC Disc Servo Motor Assembly Nordiko 9550 Used Working

Kollmorgen 00D09D02001-1M Servo Motor with Gearhead PG60-005 Nordiko 9550 Used

Kollmorgen 1000-0115-00 4-Channel Driver Magnedyne AMAT 0190-36315  Surplus

Kollmorgen AKM22G-CKB22-00 PM Servomotor AKM Series Working Surplus

Kollmorgen BDS3-208/30-02-102-4500B2P Motor Controller Working Surplus

Kollmorgen BDS3-208/30-08-102-4500B2P Motor Controller Varian 108181100 Working

Kollmorgen D101A-93-1215-001 Spindle Motor GOLDLINE DDR AMAT Reflexion Faded

Kollmorgen D101A-93-1215-001 Spindle Motor GOLDLINE DDR Copper Exposed Working

Kollmorgen D101A-93-1215-001 Spindle Motor GOLDLINE Loose Connector Copper Spare

Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Working

Kollmorgen ME2-207-C-94-250 Encoder Commutated Servomotor Goldline As-Is

Kollmorgen PSR3-208/50-08-003 Controller Power Supply Varian 108181100 Working

Kollmorgen PVD-DCB4 Digital Control Board PCB DCB4 Working Surplus

Komatsu 2000104C Heat Exchanger KIS-0007-4 Used Tested Not Working As-Is

Komatsu 2000104D Heat Exchanger KIS-0007-3 Used Tested Not Working As-Is

Komatsu 20002060 Cooling Plate RCP-3002 TEL 5087-400151-11 Lithius Working Spare

Komatsu 20007030 Temperature Controller AIH-124QS-T5 Heat Exchanger Working

Komatsu 20010120 Energy Saving Chiller AKX-3000-V AMAT 3380-00034  Surplus

Komatsu 20010210 Energy Saving Chiller AK-1000 AMAT 3380-00033  Surplus

Komatsu 20012931 Network Heater Controller HRX-604AH Working Surplus

Komatsu 20023142 Chiller Controller FRC-5000-7-R TEL 2L80-002945-22 Working

Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72 Used Working

Komatsu Electronics 20000300 Temperature Controller AIH-64QS-T5 TEL PR300Z Spare

Komatsu Electronics 20000310 AIC-7 Temperature Controller AIC-7-6-T3 Working

Komatsu KDP1320LE-1 Control Panel Assembly 7821-40-3015 Nikon NSR-S204B Used

Komatsu KDP1320LE-1 Control Panel Assembly 7821-40-3016 Nikon NSR-S204B Used

Komatsu KDP1320LE-1 Control Panel Assembly WDX4E043.AIP Nikon NSR System Used

Komatsu KDP1320LE-1 Control Panel Used Working

Komatsu KDP1320LE-1 Display Panel Nikon 7821-40-3016 NSR-S204B Used Working

KoMiCo 300mm Wafer HHP Shutter TEL 2910-100464-11 ACT12-300 Copper

KoMiCo 300mm Wafer LHP Shutter TEL 2910-100464-11 ACT12-300 Copper

KoMiCo DRM Bellows Cover A and B Set of 2 TEL Tokyo Electron Unity Cleaned Spare

KoMiCo DRM Coated Depo Shield Ring Coated TEL Tokyo Electron Unity Cleaned Spare

KoMiCo DRM Kit AceCo S33-960 S33-1040 TEL Tokyo Electron Unity Cleaned Surplus

KoMiCo DRM White Coated Depo Shield Ring Coated TEL Tokyo Electron Cleaned Spare

KoMiCo S3M60067 Silicon Insert Ring Lapping Type AMAT 0200-02384 Cleaned Working

KoMiCo S3M60067 Silicon Si Insert Ring Lapping Type AMAT 0200-02384 Working

KoMiCo Technology 633000138 CU 3 Port 5 Hole Mist Trap Copper Exposed Used

KoMiCo Technology Quartz Liner T/V 200mm Shadow Ring Cleaned Working Surplus

KoMiCo Technology S31A-CB-006-A ***lation Ring 2.0mm for FEOL  Surplus

KoMiCo Technology Super E Kit Quartz Spacer Pipe Manufacturer Cleaned Working

Kondoh Industries PF-FFU Fan Filter Unit TEL Tokyo Electron Trias TB SPA Working

Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 MTU 2 Chopper

Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 Used Working

Kondoh Kohsya LM-101 PCB Controller Assembly DSM-007 Used Working

Kontron 56100000 SBC Single Board Computer PCB Card 786LCD/ST Daifuku CEL-M10

Kulicke & Soffa 00780-4310-000 Y MSC PCB Card 06100-4311-001-01 Working Surplus

Kulicke & Soffa 00780-4310-000 Y MSC S/W PCB Card 06100-4311-001-01 Working

Kulicke & Soffa 00780-4340-000 Z MSC S/W PCB Card 06100-4311-001-01 Working

Kulicke & Soffa 00780-4350-000 T M.S.C S/W PCB Card 06100-4311-001-01 Working

Kulicke & Soffa 00780-4350-000 T MSC Board PCB Card 06100-4311-001-01 Working

Kulicke & Soffa 780-4145-000-01 Power Logic PCB Card 00797-4145-001-01 Working

Kulicke & Soffa K2525-E5SH-000 Dicing Wheel Semitec Reseller Lot of 100

Kulicke & Soffa N08001-4164-000-04 Servo Preamp PCB Card 8001-4164 Working Spare

Kulicke & Soffa N08001-4176-000-07 Stepper/Sensor PCB Card 8001-4176 Working

Kulicke & Soffa N08001-4186-000-12 USG PCB Card 8001-4186 Working Surplus

Kulicke & Soffa N08001-4192-000-33 I/O TEMP PCB Card 8001-4192 Working Surplus

Kulicke & Soffa N08001-4238-000-01 Servo CPU PCB Card 8001-4238 Working Surplus

Kulicke & Soffa S1645 Dicing Wheel Semitec Reseller Lot of 105  Surplus

Kuroda SPCBUA2-20-16-ZV Wafer Robot Body TEL 3D80-000009-V4 T-3044SS Used

Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL 3D80-000009-V4 No End Effector Used

Kuroda SPCBUA2-20-16-ZV Wafer Robot TEL Tokyo Electron 3D80-000009-V4 Used

Kuroda SPCBUA2-20-16-ZV Wafer Robot Tokyo Electron 3D80-000009-V4 T-3044SS Spare

Kuroda SPCBUA2-20-16-ZV Wafer Transfer Robot TEL 3D80-000009-V4 T-3044SS Working

Kuroda SPCBUA2-20-40-ZV Wafer Robot Body TEL 3D80-000008-V4 T-3044SS Used

Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL Tokyo Electron 3D80-000008-V4 As-Is

Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used

Kuroda SPCBUA2-20-40-ZV Wafer Transfer Robot TEL 3D80-000008-V4 T-3044SS Working

Kurt J. Lesker 750-005-G1 Sputtering Shutter Module Front Load Sensor

Kurt J. Lesker SL0300PVIK Pneumatic Bellows Sealed SS Inline Valves  Surplus

KV Automation 4022.480.62992 Manifold 4022.486.18071 Nikon Reticle Loader Used

KV Automation 4022.480.62992 Pneumatic Assembly ASML 4022.480.62681 Used Working

KV Automation 4022.486.21591 Robot Gripper Manifold ASML Used Working

KV Automation 4022.486.23731 Gas Supply Module ASML Used Working

Kyocera 1004931 FRU Orifice & Curtain Plate QTRAP 4000 Spectrometer Working

Kyocera 1006923 FRU Orifice & Curtain Plate QTRAP 3200 Spectrometer Working

Kyocera 5015556 FRU Orifice & Curtain Plate QTRAP 4500 Spectrometer Working

Kyosan Electric HPK10ZI-TE1 DC Power Supply Used Working

Kyosan Electric HV-PS4K HV Power Supply +/-4000V TEL Tokyo Electron  Surplus

Kyosan HV-PS8 HV Power Supply TEL Tokyo Electron 2L80-000558-41 Trias CVD Spare

Kyoto Denkiki KDS-20170S High Voltage Power Supply Hitachi 3-845612

Kyoto Denkiki KDS-30350SF DC Power Supply Hitachi M-712E Untested As-Is

Kyoto Denkiki KDS-30350SF High Voltage Power Supply Hitachi MU-712E Used As-Is

Kyoto Denkiki KDS-30350W Dual Output DC Power Supply Bent Panel Untested As-Is

Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Hitachi M-712E As-Is

Kyoto Denkiki KDS-30350WFX Dual Output Power Supply Hitachi 3-A20515-*A Bent

Lam Research 00229-12003 Target Housing Lid 12.9  Surplus

Lam Research 02-112622-00 Index Transfer Plate Novellus 15-055437-00 Used

Lam Research 02-287781-00 15" Heater Pedestal Assembly PED Rev. B Working Spare

Lam Research 02-287781-00 15" Heater Pedestal Assembly PED Rev. C Working Spare

Lam Research 02-287781-00 15" Heater Pedestal Assembly Rev. A Untested As-Is

Lam Research 02-287781-00 15" Heater Pedestal Assembly Scratched Copper Cu As-Is

Lam Research 02-287782-00 Heater Pedestal PED Assembly Scratched Untested As-Is

Lam Research 02-335815-00 15" Heater Assembly Pedestal 101292-2611-007 Copper

Lam Research 02-335821-00 Heater Pedestal PED Assembly Copper Exposed Working

Lam Research 02-376092-00 1" MCA Machined Finish Pedestal C3VCTR Residue As-Is

Lam Research 02-426825-00 Heater Pedestal PED Assembly Copper Exposed Working

Lam Research 10450409 Electrode Universal High Temp Shower Head XYCARB  Spare

Lam Research 12350-2-D-00622135 Outer Gate Assembly 4420 Used Working

Lam Research 16-377679-00 15" Heater Pedestal Assembly Working Surplus

Lam Research 16-383779-02 Showerhead 300mm 15" NC LL STD PTTN C3VCTR Working

Lam Research 16-383780-02-F Showerhead 300mm 15" NC LL STD PTTN Working Surplus

Lam Research 16-418665-00 300mm Heater Pedestal Assembly Novellus Working Spare

Lam Research 520-00829-01 Lower Electrode Assembly Rev. C Working Surplus

Lam Research 520-00829-01 Lower Electrode Assembly Working Surplus

Lam Research 605-016005-001 CRT Video Bottom Board PCB 91196-005 Rev. C Working

Lam Research 605-016005-001 CRT Video Bottom Board PCB 91196-005 w/Mount Working

Lam Research 605-016006-001 CRT Video Top Board PCB 90441-009 Working Surplus

Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 Used

Lam Research 713-021816-001 Blank Cover 713-21816-1 Manufacturer

Lam Research 714-460562-002 ELCTD HSG ESC Cover Micron 811-02493R

Lam Research 715-000043-101 Hardcoat Reaction Chamber Working Surplus

Lam Research 715-005844-101 Hardcoat Orifice Ring Chamber Assembly  Surplus

Lam Research 715-005865-101 Hardcoat Top Plate Chamber Assembly  Surplus

Lam Research 715-006039-101 Hardcoat Lower End Chamber Assembly  Surplus

Lam Research 715-007121-002 5 Inch EXIT Wafer Holder Lot of 5 490 AutoEtch

Lam Research 715-007434-101 Hard Anodized Retain Gap Housing  Surplus

Lam Research 715-008974-001 Chamber Top  Surplus

Lam Research 715-009128-004 3.562 Electrode Cap  Surplus

Lam Research 715-009306-005 Focus Ring Reseller Lot of 5  Surplus

Lam Research 715-011531-102 Electrode Aluminum Anodized Manufacturer

Lam Research 715-018611-114 8" Bare Domed .035 Lower Cap

Lam Research 715-021400-002 P.S. MOD 180 Degree Loadlock Cover  Surplus

Lam Research 715-05115-001 200mm Wafer Assembly Untested As-Is

Lam Research 715-11006-4-1 Chamber Ring Used Working

Lam Research 715-11535-100-E2 Upper Electrode Ring Used Working

Lam Research 715-330984-001 ESC Gas Injection Fixed Gap Bottom Ring

Lam Research 715-390129-001-E2 Chamber Plate Working Surplus

Lam Research 715-495014-001 Chamber Transition Manifold Liner Used

Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare

Lam Research 716-011036-001 Alumina Filler Orifice Ring  Surplus

Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. F  Surplus

Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. F Working Spare

Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. G

Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. G  Surplus

Lam Research 716-011057-002 Upper Alumina Filler Ring Manufacturer

Lam Research 716-011427-001 Mechanical Finish Quartz Disk 200mm  Surplus

Lam Research 716-011651-008 200mm Wafer Clamp Edge Ring Manufacturer

Lam Research 716-011763-008 Wafer Clamp Edge 8" Edge Ring Rev. 2 Cleaned

Lam Research 716-018527-404 Plate Wafer Clamp 8" .035 Dome  Surplus

Lam Research 716-028454-001 Quartz Disk 200-300mm  Surplus

Lam Research 716-033917-001 Research Quartz Ring Base

Lam Research 716-043116-001 Shroud Ring 4528 Etcher

Lam Research 716-140118-001 Focus Ring 4528 Etcher

Lam Research 716-140118-001 Focus Ring ESC 8" Used Working

Lam Research 716-140427-001 STD 6 ESC NCH Hot Edge Ring 15DEG  Surplus

Lam Research 716-175990-001 Quartz Bell Jar 12" Low FE SPRT OEM

Lam Research 716-175990S001 Quartz Bell Jar 12" Low FE SPRT 2130  Surplus

Lam Research 716-175990S001 Quartz Bell Jar 12" Low FE SPRT OEM

Lam Research 716-250696-001 Upper Electrode Insulator  Surplus

Lam Research 716-330122-002 Ceramic F/G Top Plate

Lam Research 716-330167-261 6" Bottom ACTR Clamp Ring

Lam Research 716-330190-081 8" Focus Ring ESC  Surplus

Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working

Lam Research 716-440054-108 Plate Focus Ring Adapter 810-02432R

Lam Research 716-443179-002 Wide Edge Ring Manufacturer

Lam Research 719-003481-872-C Ceramic Plate Used Working

Lam Research 810-01317-001 A/D Converter PCB Card ST4303 OEM

Lam Research 810-017388-001 VME Ethernet PCB Card Rev. 1 Working Surplus

Lam Research 810-02460R Extended Heated Ceramic Liner Manufacturer

Lam Research 810-048219-004 Pulse Power Sample & Hold PCB 710-048219-004

Lam Research 810-102361-216 Chamber Mux Board PCB 855-102361-216 Working Spare

Lam Research 810-17004-1 Solenoid Interlock BD PCB Rev. B 4420 Rainbow  Spare

Lam Research 810-17012-001 Heartbeat BD PCB Rev. D Rainbow 4420 Working Surplus

Lam Research 810-17012-001 Heartbeat BD PCB Rev. F Rainbow 4420 Working Surplus

Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 Used

Lam Research 810-17016-001 Stepper Motor PCB Lot of 2 Rainbow 4420 Working

Lam Research 810-17030-5 Gas Panel PCB Rev. 2 Working Surplus

Lam Research 810-17031-2 ADIO A0 PCB Card 17031-2-2 4420 Etcher Working Surplus

Lam Research 810-17031-2 ADIO A0 PCB Card Rev. 2 810-017031R002 Working Surplus

Lam Research 810-25417R Flat Screen 300MM POLY DSP2

Lam Research 810-490656-001 Alliance PM Breakout PCB Rev. E1 Working Surplus

Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare

Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare

Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare

Lam Research 810-707057-001 PM AC/DC Board PCB Rev. E2 FPD Continuum Spare

Lam Research 810-707057-001 PM AC/DC Board PCB Rev. E3 FPD Continuum Spare

Lam Research 810-707059-001 PM Node 4 PCB Panel 810-707150-001 Continuum Spare

Lam Research 810-707060-001 PM Node 3 PCB Panel 810-707150-001 Continuum Spare

Lam Research 810-707061-003 PM Node 2 PCB Panel 810-707150-001 Continuum Spare

Lam Research 810-707103-001 I/O Bus Control 810-707150-001 Neuron PCB FPD Spare

Lam Research 810-707103-001 Power Convertible Board PCB Continuum Working Spare

Lam Research 810-707150-001 Neuron C Module 50020-10 PCB FPD Continuum Spare

Lam Research 810-802902-006 TM Node 2 PCB Panel 810-707150-001 Continuum Spare

Lam Research 839-021113-002 Silicon Electrode

Lam Research 843-022046-001 CRTSY CAL TE Kit  Surplus

Lam Research 843-022046-001 CRTSY CAL TE Kit Rev. B  Surplus

Lam Research 852-011061-103 Lower Chamber Assembly 4420 Etcher Untested As-Is

Lam Research 852-017500-001 CRT Operator Interface Panel Assembly 4420 Used

Lam Research 852-017500-001-D CRT Operator Interface 853-017505-001-B Used

Lam Research 852-017500-003-4 CRT Operator Interface 853-017505-001-C Used

Lam Research 852-017500-003-4 Operator Interface Control Panel 4420 Working

Lam Research 852-017500-003-6-C220 Operator Interface Panel Assembly 4420 Used

Lam Research 852-017750-001 Remote Damaged Used Untested As-Is

Lam Research 852-017750-001 Remote Used Untested As-Is

Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working

Lam Research 853-001142-001 Automatch Controller

Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working

Lam Research 853-012350-002--230D Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-D Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-F-230D Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-F-230S Outer Gate Assembly Rev. G 4420 Rainbow Used

Lam Research 853-012350-002-H-230 Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used

Lam Research 853-012350-002-J Outer Gate Assembly Used Working

Lam Research 853-012350-002-J-LLFD Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350-002-LL FDR Outer Gate Assembly 4420 Etcher Used Working

Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working

Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working

Lam Research 853-012550-001-H Shuttle Assembly 4420 Etcher Used Working

Lam Research 853-012550-001-H-ELM Wafer Shuttle Assembly 4420 Etcher Used

Lam Research 853-013610-001-C Solenoid Tray Assembly 4420 Etcher Used Working

Lam Research 853-013610-001-D-C222 Solenoid Tray Assembly 4420 Etcher Used

Lam Research 853-017163-001-G-262B RF Switch Box 810-17032-1 4420 Rainbow Spare

Lam Research 853-017340-001 Resistor Bank Assembly Working Surplus

Lam Research 853-017435-001-A-1996 4 Pin Lifter Filter 4420 Used Working

Lam Research 853-020936-002-C-CHFDER Upper Seal Plate Assembly 4420 Used Working

Lam Research 853-033766-004 RF Sensor Coupler Box Manufacture

Lam Research 853-130062-008 200mm Wafer Holder Robot End Effector 8" Fork

Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used

Lam Research 853-370141-002 8 Sided PM Interlock PCB Card Working Surplus

Lam Research 853-495457-001 Pedestal CSTR 2.2K TMP Lifter Rev E2

Lam Research 859-8158-001A Power Supply Panel Assembly PM 2973A-2-5 Working

Lam Research 860-010168-001 Rear E.M.O. Enclosure 4420 Used Working

Lam Research E4A N2 Purge Unit Horiba SEC-N142MGR for TDK TAS300 Type E4/E4A

Lam Research KP00-716-330892-007 Ceramic Shower Head (PTX)

Lam Research S31A-CB-006-Q Ceramic ***lation Ring 0.0mm BEOL Used Working

Lam Research SUG-CRR-0320 Ceramic Confinement Ring S3L22128  Surplus

Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Working Surplus

Lambda Electronics 13773-2 Rack Mount Power Supply Spare Surplus

Lambda Electronics UBK13GZ-2156 600 Watt Power Supply UltraFlex Series Working

Lambda EMI 00500003 Power Supply 5kW SX502S-5KV-NEG-DC-AIR-1370 Untested As-Is

Lambda EMI 00500003 Power Supply SX5025-5KV-NEG-DC-AIR-1370 Axcelis Fusion Used

Lambda EWS100-5 DC Power Supply lot of 15 tested working

Lambda H40575 Power Supply Alpha 400W CA400 3.3Q,5B,24G,15/15E Working Surplus

Lambda H60568 Alpha 600W Power Supply CA600 24D MF 24K 15/15E 5/12E Working

Lambda LDS-P-15 DC Regulated Power Supply Reseller Lot of 10 Used Working

Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5 Used Working

Lambda LRS-50-15 AC-DC Switching Power Supply Lot of 14 Used Tested Working

Lambda V403M3H Spectrometer Power Supply Vega 450 AB Sciex MDS Working Surplus

Lamda EMI 00470274 TCR Power Supply 40S70-2-0503 Working Surplus

Lasair 1510-(8) Particle Counter

Laser Identification Systems 6050021 SBC Single Board Computer PCB Card Lumonics

Laser Identification Systems 6050029 PC Communicator PCB Lumonics LW-CO2 Used

Lasertec C-100280 Interface PCB Card COMTERM51 Used Working

Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D Used Working

Lasertec C-100451A V Cell Shift PCB Card C-100450A Used Working

Lasertec C-100479A Process PCB Card CL-PATGEN C-100478A C-100799 Working Surplus

Lasertec C-100615B Backplane Board PCB SHADING MOTHER C-100614B Working Surplus

Lasertec C-100687A Interface PCB Card ADDMX C-100686A Used Working

Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2 Used

Lasertec C-100824A PCB Stage Limit A Lasertec MD2500 Used Working

Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Working Surplus

Lasertec C-100957 Backplane Board PCB C-100956 Used Working

Lasertec C-101033 POS AF PCB Chassis Lasertec MD2500 Used Working

Lasertec C-101177A Interface I/O Board PCB Card SHADING IPU CORECO C-101176A

Lasertec C-101230 Interface I/O Board PCB Card SHADING_CL_OUT C-101229 Working

Lasertec Corporation C-100859B PCB Card SHAD2500B C-100858B Working Surplus

Lasertec Corporation C-100980B PCB Card FSVIEW2 C-100978B Working Surplus

Lasertec Photomask Reticle Handling Aligner Lasertec MD2500 Used Working

LEAG 90228 3 Axis Servo Drive TFM-060-06-05-99 Alphasem SL9201 Used Working

Leda-Mass Spectra Vacscan 100 DUAL X100 Residual Gas Analyzer RGA LM6 LM62 Used

Leda-Mass Spectra Vacscan 100 Residual Gas Analyzer RGA Cables Nordiko 9550 Used

Leeson C42D17FK1C Direct Current Permanent Magnet Motor Reducer W6215034 Used

Leica 036-085.021 Microscope Vertical Illuminator WF710-34711-DD AMAT Orbot Used

Leitz 020-435.032 Laborlux 12 HL Microscope DF with Objectives Working Surplus

Leitz FSA-WR Microscope Eyepiece Ergoplan Leica 572089

Leitz Wetzlar 301-360.055 ERGOPLAN Microscope MA-MO PCB Card Working Spare

Leitz Wetzlar 301-360.070 ERGOPLAN Microscope LZAM PCB Card Working Spare

Leutron Vision PicPortX CL Stereo PicPort Camera Link Grabber PCB Card Used

Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera Link Grabber PCB Card Used

Lexel 00-145-061 Laser 85-S Used Working

Lexel Laser 00-143-502 Laser Controller 85S SVG-859-5163-005 Used Working

Lexel Laser 00-143-502 V-06 Controller 85 S Used Working

Leybold 200 29 937 Power Distribution LV Module PCB Card UL 500 Working Spare

Leybold 200 29 937 Power Distribution LV Module PCB Card ULTRATEST UL 500 Spare

Leybold 200 29 939 Turbo Pump Supply TPV Module PCB Card ULTRATEST UL 500 Spare

Leybold 200 29 941 Control Motherboard CPU STE Module PCB Card UL 500 Working

Leybold 200 29 942 Mass Spectrometer Supply MV Module PCB Card UL 500 Working

Leybold 200 30 682 Keyboard and CPU PCB 200 30 750 UL 500-CPU ULTRATEST Working

Leybold 200 57 205 Power Distribution LV Module PCB Card UL 500 Working Spare

Leybold 200 61 710 Power Distribution LV Module PCB Card 20061710 UL 500 Spare

Leybold 200 61 710 Power Distribution LV Module PCB Card UL 500 Working Spare

Leybold 200 61 966 Control Motherboard CPU STE Module PCB Card UL 500 Working

Leybold 200.59.928 UL 500 Leak Detector Pre-Amp Magnet Assembly & Cables Spare

Leybold 200.59.928 UL 500 Leak Detector Preamplifier Magnet Assembly Working

Leybold 400036V0003 Turbomolecular Pump Drive Bearing Cable 20M Turbo Untested

Leybold 400036V1017 Turbomolecular Pump Cable 20M Turbo AMAT 0620-01945 Working

Leybold 844235 COOLVAC Power Supply AMAT Applied Materials 0190-03798

Leybold TM21 TM22 Vacuum Gauge Controller Module Used Working

Leybold WS1001 Vacuum Pump Kit

LF-5 RFPP RF Power Products 7520572050 RF Generator Tested Not Working As-Is

Lintec LM-2212A-NN-22VR1DJM02 Flow Meter 1033-867-01-R Z(ATRP)4g/min

Lintec VU-104 Vaporizer AMAT Applied Materials 3870-01548

Lintelle Engineering 02-122307-00 Endpoint Detector Novellus Systems  Surplus

Logitech Diamond Smoothing Block 316 1ACCA-01710  Surplus

Logosol LS-4.954.0125 LS4 DSP Controller PCB Card LS-4 #6486 FlexWare Genmark

Logosol LS-4.954.0190 LS4 DSP Controller PCB Card LS-4 #7044 FlexWare Genmark

Louwers Hanique 2431505-01D 242X2 End Effector LH10808310 Reseller Lot of 6

LPG-1A ENI LPG-1A-000-51 Solid State RF Power Generator 100 KHz Tested Working

LPG-1A ENI Power Systems LPG-6A RF Generator Tested Not Working

LPG-1AT ENI LPG-1ATM3 RF Generator 100W @ 450KHz Tested Working Surplus

LPG-6AT ENI Power Systems LPG-6A-21051-51 RF Generator Tested Not Working

LSA Anodic Technologies 15-117029-00 Tin Dark Space Ring Q200 OEM

LTD Material 10299-000 Cover Quartz ESC NGDT  Spare

LTD Material 10424-000 Quartz Chamber Tube 3500  Spare

LTD Material 10517-000 Quartz 3500 Collar w/1.00 Hole Chamber Liner  Surplus

LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8  Spare

LTD Materials 10830-000 8" Quartz Step Cover Ring DLIFT 2 Notch

Lumonics 60560124 Wafermark SC Interface Board PCB Working Surplus

LYTRON MCS10603-M01 Modular Cooling System Electroglas

Lytron RC006G03CB1C005 Recirculating Chiller Air Cooled Tested Working Surplus

M and W Systems RPC2/28W-RNB Flowrite Recirculating Cooling System Tested As-Is

M.E.C. Tech MEC30512-1001R Process Ring

M.E.C. Tech MEC30512-1268TI Process Plate Assembly Copper

M.E.C. Tech MEC50005-534-1 Tapered Finger Oxide Clamp Ring AMAT 0020-33739

M.E.C. Tech MEC83306-3017L Bottom Focus Ring Posi-Snap Reseller Lot of 59

M.E.C. Tech MEC83306-3018L Focus Ring Posi-Snap Tex Reseller Lot of 46

Machine Technology 2217605132 Processor II PCB Used Working

Machine Technology 2217605133 Processor II PCB Used Working

Machine Technology 2217605501 Motor Control III PCB Used Working

Macom M7047-2 Processor Board PCB Card Untested As-Is

Mactronix AWI-600 200mm Wafer Prealigner Handler Sorter Used Working

Mactronix HZN650P5 150mm Wafer Transfer System HORIZON Tested Working Surplus

Mactronix MCL-825 Transfer Machine MacLite 200mm KA198-80M Metal Cassette Used

Mactronix UJ2-825 Wafer Backgrind Platform Cassette EU-PLT-910405U94  Surplus

MAG.DRIVE iS Leybold 400001431 Turbomolecular Pump Controller Tested Working

Magmotor Technologies 720102640 Automation Servo Motor 21630 HEDS-5500 Working

Magmotor Technologies 720102811 Automation Servo Motor 21231 HEDS-5500 Working

Magmotor Technologies 720102821 Automation Servo Motor 21232 HEDS-5505 Working

MagneTek GPD-506 E145918A AC Frequency Drive Used Working

Magnon Engineering 10650 X & Y Axis Driver PCB Card Lot of 2 Working Surplus

Magnos 1607A X Gauss Meter Varian Ion Implant Systems 09392001  Surplus

Marathon Electric 7VH18TTDR7325DT L Pump M315 Burks 350CA7-1-1/4-AB-M Used

Marteq Process Solutions 1084136-1 Lid Assy for 8201 Dryer ASM 4654546-001 Used

Marvel 2 Matrox PCB 521-0201 Rev C Circuit Board KLA-Tencor AIT I Used Working

Material Support Resources Vertron DD803V T Shaped Cold Trap

Materion Microelectronics 7105330 Bonded CS Ti Target  Surplus

Materion Microelectronics 7106509 Ni/Fe 17% wt% Target  Surplus

Materion Microelectronics 7113419 NiFe14 Bonded Target  Surplus

Materion Microelectronics ZTH07212 Cr Chromium Target for Cymetra  Surplus

Materion Microelectronics ZTH08197 Bonded Ti Target for Cymetra  Surplus

Matheson MREG-0983-XX High Purity Regulator Varian 108408001 Lot of 3  Spare

Matheson N241-0172 Manual Regulator PE2400 N2410172 AMAT Applied Materials

Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used

Matheson Tri-Gas S081-0626 PRO 201 Controller S085-0206 SEMI-GAS Working Surplus

Matrix 1000-0073 Cluster Tool Phase Monitor PCB Board System 10 Style 1104 Used

Matrix Integrated Systems System 10 Style 1104 Control Computer PC Used Working

Matrix System 10 Style 1104 100-200mm Wafer Descum System Chamber Untested As-Is

Matrox IM-CLD/AT/N Trigger and Video PCB Card KLA-Tencor 2552X Used Working

Matrox STD 2480 Hardware Computer PCB Card Lam Research 810-001984-002 Spare

Matrox VIP-1024A Frame Grabber PCB Card 268-06-01 239-06-02 KLA-Tencor eS31

Matsusada Precision VR120-9X2-B High Voltage Power Supply Working Surplus

Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5 Used Working

Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5-N Used Working

Matsushita Nais AFP0480 PLC FP0-C16T Vexta DFC1507 Cosel R10A-5 Used Working

Mattson 210-16755-00 Butterfly Valve System Nor-Cal APC-001-B.2 020730-4

Mattson 263-13567-00 200mm Wafer End Effector Paddle Fork Set of 2 Working Spare

Mattson 37100690 VMU Pneumatic PCB Assembly Steag 17000439 Festo PEV-1/4-B

Mattson 55-00637 ICP Remote Endpoint Detector Assembly Kit 303-00708-00

Mattson 55-00637 ICP Remote Endpoint Detector Assembly Set 263-01663-00 Working

Mattson 553-00098-00 RF Match Assembly Shower Head 9900-0005-01 Damaged As-Is

Mattson 553-00098-00 RF Match Assembly Shower Head Base 9900-0005-04 Spare

Mattson 914-12012-00 High Vacuum 90° Elbow Tee ***100 ***-K to NW50 Lot of 9

Mattson Technology 139-00004-00 Robot Forearm #004 Reseller Lot of 4  Surplus

Mattson Technology 140-00001-00 Robot Flange Assembly 133-00005  Surplus

Mattson Technology 145-01001-00 Gas Distribution Cover Aspen II  Surplus

Mattson Technology 153-00001-00 Slit Valve Gate Door 200mm 300mm Modified Spare

Mattson Technology 162-00001-00 200mm Heater Block Kit Aspen II OEM

Mattson Technology 165-00090-00 Digital I/O PCB Card Aspen  Surplus

Mattson Technology 17000191 POD Processor PCB Card JES_POD V3.0 Working Surplus

Mattson Technology 17000284 Relay Board PCB Card PN5714 Reseller Lot of 3

Mattson Technology 172-00001-00 Wafer Cassette Platform  Surplus

Mattson Technology 182-74000-00 #97 RF Coaxial Cable 100 Foot  Surplus

Mattson Technology 199-60004-00 Triode Insulator  Surplus

Mattson Technology 235-03987-00 Electrical Wafer Mapping Kit  Surplus

Mattson Technology 235-13536-00 Process Kit 258-05828-00  Surplus

Mattson Technology 246-21000-00 Wafer Mapping Controller PCB Rev. 3  Surplus

Mattson Technology 246-91000-00 Primary Interface PCB Strip & CVD Rev. B

Mattson Technology 246-94000-00 Analog Board PCB Rev. B  Surplus

Mattson Technology 255-02187-00 Pump Interface PCB Ebara A30W Rev. A  Surplus

Mattson Technology 255-02964-00 Analog Board PCB SVPC 32-99 Rev. A Working Spare

Mattson Technology 255-03883-00 Main AC Strip and CVD Relay PCB  Surplus

Mattson Technology 255-04774-00 Process AC Box Relay Panel PCB  Surplus

Mattson Technology 255-06515-00 Operator Interface PCB 060-00090-00  Surplus

Mattson Technology 255-06722-00 Interlock PCB 130-06721-00 300-06722-00

Mattson Technology 255-17618-00 ENC HALL FLTR A3 Interface PCB Lot of 13

Mattson Technology 258-01561-00 CVD TEOS Vaporizer Chemical Vapor Deposition

Mattson Technology 258-08904-00 High Purity Gas Manifold Reseller Lot of 3

Mattson Technology 263-00344-01 RF30 RF Generator Interface Cable  Surplus

Mattson Technology 263-01129-00 Cassette Rotation Nest Assembly  Surplus

Mattson Technology 263-04662-00 System Desktop Operator Interface  Surplus

Mattson Technology 263-05684-02 300mm Strip Left Assembly Pin Lift  Surplus

Mattson Technology 263-07482-00 Center Cooling Shelves Assembly  Surplus

Mattson Technology 263-13567-00 200mm Paddle End Effector Set of 2

Mattson Technology 263-15640-00 Endpoint Lens Assembly 55-01700  Surplus

Mattson Technology 263-16985-00 Aspen II Quad Seal Feedthrough Set Aspen III

Mattson Technology 263-19392-00 Coaxial RF Cable 100 Foot RG217  Surplus

Mattson Technology 263-20986-00 CVD Lift Pin Assembly 304-20979-00 Kit  Spare

Mattson Technology 27100046 Vacuum Pump KNF LABOPORT N86 KN.18 Assembly

Mattson Technology 299-20000-00 ICP Plasma Sense PCB Reseller Lot of 3

Mattson Technology 301-06476-00 Left Insulator Flange Rework Rev. P2  Surplus

Mattson Technology 303-00756-00 Clamp Window Reseller Lot of 6  Surplus

Mattson Technology 303-02287-00 200mm Wafer Cassette Nest Reseller Lot of 22

Mattson Technology 303-04715-00 Quartz Guide Ring Support  Surplus

Mattson Technology 303-04716-00 Quartz Guide Ring Clamp  Surplus

Mattson Technology 303-05314-01 Shower Head AMAT Applied Materials  Surplus

Mattson Technology 303-07752-00 Sealing Blade  Surplus

Mattson Technology 303-08543-00 Stainless Steel Cylinder Assembly Rev. P2

Mattson Technology 303-15664-00 Process Showerhead  Surplus

Mattson Technology 303-15665-00 A3 CVD Faceplate with Lip  Surplus

Mattson Technology 303-15692-00 Faceplate SHD USG CVD A3  Surplus

Mattson Technology 303-16347-00 A3 300mm Ground Shield  Surplus

Mattson Technology 304-06563-00 Quartz Upper Heater Shield  Surplus

Mattson Technology 304-06565-00 Chamber Hood Liner  Surplus

Mattson Technology 304-15694-00-Z1 Quarts RF Break Angled Holes Lot of 7

Mattson Technology 304-16253-00 A3 Wafer Platen Quartz Ring

Mattson Technology 304-16542-00 200mm Wafer Platen Quartz Shield A3  Surplus

Mattson Technology 304-17600-00 A3 Wafer Platen Hi-Temp Quartz Ring  Spare

Mattson Technology 304-17600-00 A3 Wafer Platen Hi-Temp Quartz Ring Rev. P1

Mattson Technology 304-21004-00 Insulator Wafer Platen 200/300 HL  Surplus

Mattson Technology 304-21688-00 300mm Bottom Quartz Shield E HL  Surplus

Mattson Technology 40-594-501 5" Ceramic Chamber Ring Working Surplus

Mattson Technology 40-594-601 Ceramic Chamber Ring Working Surplus

Mattson Technology 40-594-651 Ceramic Chamber Ring Working Surplus

Mattson Technology 40-594-851 8" Ceramic Chamber Ring Working Surplus

Mattson Technology 47800058 Graphite Ring 6Z GR Suseptor Ring  Surplus

Mattson Technology 47800174 150mm Calibration Wafer w/Thermocouple Lot of 3

Mattson Technology 518-01530-00 Display Upgrade Kit and PCB Card WDFPCARD

Mattson Technology 560-12134-03 ADTEC RF Generator AC Cable 60 Foot Lot of 2

Mattson Technology 560-12135-03 RF Cable Coax 60 Foot ADTEC Plasma  Surplus

Mattson Technology 560-12135-04 RF Cable Coax 100 Foot ADTEC Plasma  Surplus

Mattson Technology 57000007 Quartz Panel Cover  Surplus

Mattson Technology 58900046 Quartz Wafer Tray Liner Plate 5Z Ring  Surplus

Mattson Technology Aspen II Implanter Pumping Channel Chamber Working Surplus

Mattson Technology Aspen III Robot Assembly 255-03762-00 255-03760-00 As-Is

Mattson Technology CS11424 PMI Ceramic Chamber Ring Working Surplus

Mattson Technology DAS-1802/HC Processor PCB Card 14257 Aspen  Surplus

Mattson Technology M25-00001-00 Top and Bottom Chamber Cool Set  Surplus

Mattson Thermal Products 7310-2958-04 Oven Top Plate Rev. F Used Working

Maxon Motor 119248 Servo Motor Nikon 2S602-142 NRM-3100 Working Surplus

Maxon Motor 135603 SHRINC DC Motor Nikon NSR-S204B 4S602-208-1 Used Working

Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S204B Step-and-Repeat Used

Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S205C Used Working

Maxon Motor 232842 Servo Motor A-max Nikon 4S602-385 NSR Series Working Surplus

Maxon Motor 232842 Servo Motor A-max Nikon 4S602-385 NSR-S205C Working Surplus

Maxon Motor 252720 Servo Motor RET-MOT Nikon 4S602-490 NSR-S620D ArF Used

Maxon Motor 283588 DC Motor 4S602-433 NSR FX-601F Working Surplus

MDC Vacuum Products 311081 Pneumatic Angle Valve HV Series LAV-600-PAA Used

MDC Vacuum Products KAV-150-P-10-AMAT Pneumatic Angle Valve 3870-02890 Working

MDC Vacuum Products Lift Assembly ABLM-133-1 (KLH) Used Working

MDX 10K AE Advanced Energy 3152194-008 RF Generator Delta Slave Untested As-Is

MDX AE Advanced Energy 3152243-002 B 6-Channel Selection Panel Working Spare

MDX PINNACLE AE Advanced Energy 3152338-018 Dual DC Generator Untested As-Is

MDX-052 AE Advanced Energy 2052-000-B Magnetron Remote Interface Used Working

MDX-052 AE Advanced Energy 2052-018A Magnetron Remote Interface Used Working

MDX-052 AE Advanced Energy 2052-018-B Magnetron Remote Interface Used Working

MECS Corporation AL100 Wafer Prealigner As-Is Untested

MECS Corporation AL100 Wafer Prealigner Untested As-Is

MECS OF101 Wafer Prealigner Aligner Robot Controller Working Surplus

Medtronic 1718A012 Y4 Surger Control MIE586 Temperature Chamber Used Working

MEI Motion Engineering 27-10106-00 Teach Pendant Controller Novellus Systems

MEI Motion Engineering T004-0006 PCB Card XMP-SERCOS-PCI AMAT 0195-15559 Working

MEI Motion Engineering T008-2001 Servo Drive AMAT 0190-13990 0150-14649 Working

MEI T001-0029 Motion Controller PCB Card XMP-CPCI-3U AMAT 0190-24445 Working

Meiden UA025/222Z Industrial Control Computer 850E MHz µPIBOC-III Working Spare

Meiden ZN70Z02 VME Backplane CompactPCI PCB 660-CPCI10WMD2 TEL Lithius Working

MeiVac 2460 Cryo and Turbo System Control Computer 1.0GHz Alcatel 2460 Working

MeiVac 2460 Turbo and Cryo System Control Computer 3.0GHz Alcatel 2460 Working

MeiVac 2460/2480 Turbo and Cryo System Control Computer 733MHz Alcatel 2460 Used

MeiVac CVQ-250-***-U-SM Aluminium Radial Vane VARI-Q Throttle Valve Used Working

MeiVac VQ250***HSM VARI-Q Throttle Valve 150-3 Aclatel 2460 Used Working

MEL Melss MBT 240 Unit  Surplus

MEL Systems And Services MBT 240i MELSS  Surplus

Melco Technorex EQ-142 Data I/O PCB Module Nikon 4S587-436 NSR Used Working

Melec C-820A KP1178-4 Communications PCB Card Hitachi S-9300 CD SEM Used Working

Melles Griot 05-LHP-123-294 Position Laser Nikon NSR-1755G7A Working Spare

MERCURY 10013 AE Advanced Energy 27-256558-00 RF Match 3150273-004 FR A Cu As-Is

MERCURY 10013 AE Advanced Energy 3150273-004 RF Match Damaged RF Connector As-Is

Met One 2082784-01 Laser Particle Counter A2408-1-115-1 Tested Working

Met One 2083226-01 Laser Particle Counter A2400-1-115V-1 Tested Working

Met One 2083993-04 Particle Counter 3315-.5-1-SS Pacific Scientific Working

Met One 2087142-03 Particle Counter 3313LL .3 1 CFM SS Pacific Scientific Spare

Metron 16-193623-01 SUSCEPTOR 150MM 1.2MM THICK SUBSTRATE

Metron 16-194915-01 150mm Wafer Susceptor  Surplus

Metron 215-17799-00 REAC HD Assembly Used Working

Metron Technology 882-70-000 Analog Input PCB Board  Surplus

Metron Technology D129805 200mm Wafer Holder Housing (MOD) MRC

Micrion 150-002540 CLM Optics Gain Rotation PCB Card 101001082A FEI Used Working

Micrion 150-002540 CLM Optics Gain Rotation PCB Card 170000553A EDCU FEI Used

Micrion 150-002560 CLM-SERVO Controller Power Converter Board PCB Card FEI Used

Micro Alliance 714 System Control Computer 03-0021-101 Plasma-Therm Clusterlock

Micro Alliance 714 System Control Computer Plasma-Therm Clusterlock 7000 Spare

Micro Memory MM-6702/IM Memory Card VME PCB MM-6702 1MB BATT-SRAM Working Spare

Micromass Quattro Micro API Tandem Quadrupole Mass Spectrometer Waters Surplus

Micron IB840-R Industrial Single Board Computer SBC PCB Card Lot of 2 Working

Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare

Micron Technology 2829002 Quartz Pedestal Rev. B3 Saint-Gobain

Micron Technology 811-00041 Adaptor Ring 100-00041

MicroVision MVT 2080 Workstation Wafer Inspection Station Table Tschurr Used

Microvision MVT3080 Wafer Prealigner Used Working

MicroVision MVT4080 Wafer Aligner Used Working

Millipore FC-2900V Mass Flow Controller MFC 500 SCCM SF6 Lam 797-090865-609

Millipore FC-2902M-T Mass Flow Controler Tylan 2900 Series MFC 50 SCCM O2

Millipore FC-2950MEP5 Mass Flow Controller MFC 2 SLPM O2 Lam 797-093267-804

Millipore FC-2950MEP5 Mass Flow Controller MFC 20 SCCM CH3F 797-093267-251

Millipore FC-2950MEP5 Mass Flow Controller MFC 20 SCCM CHF3 797-093267-210

Millipore FC-2950MEP5-4V Mass Flow Controller MFC 10 SCCM C4F8 Lam 797-093267-12

Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He

Millipore FC-2979MEP5 Mass Flow Controller MFC Tylan 2979M TEL 015-010349-1

Millipore FSCGD1000S00 Mass Flow Controller MFC IntelliFlow 3030-00842  Spare

Millipore FSDGD1001700 Mass Flow Controller MFC IntelliFlow 3030-00838  Spare

Millipore FSEGD100AM00 Mass Flow Controller MFC IntelliFlow 3030-00817  Spare


为您推荐
供应商网> 工控系统及装备> 其他工控系统及装备> 推送:S9971AS-0省市县区域/直送2023全+境+派+送
    在线问
    产品参数
    1/3
    面议 在线咨询
    进店 客服 获取最低报价 拨打电话
    推送:S9971AS-0省市县区域/直送2023全+境+派+送
    ¥面议
    • 采购产品
    • 采购数量
    • 联系电话
    《服务条款》 并允许推荐更多供应商为您服务
    请阅读并同意《服务条款》