取消

推送:031-01090-000省市县区域/直送2023全+境+派+送

参数
  • PLC产品产品特性
  • 是否进口
  • 法国产地
福建 闽侯县 3天内发货 10个
福州卓凯电子科技有限公司 2年
进入店铺 在线咨询
产品详情

福州卓凯电子科技有限公司


主营产品:DCS集散式控制系统、PLC可编程控制器、数控系统、


(CPU处理器、模块、卡件、控制器、伺服驱动、工作站、驱动器、


马达、 内存卡、 电源,机器人备件等)各类工控产品


主要业务: AB罗克韦尔 1756,1747,1746,1769,  1794,1734系列 PLC CPU,


Siemens 6DD,6FC,6SN,6FC,6S5系列,Foxboro系统卡件,


Triconex系统模块,Rexroth力士乐全系列产品,Modicon停产模块 等各类工控产品


Schneider(施耐德) 140系列 170系列 TSX系列  PLC,CPU




Cadence 2500000006399 Power Supply Unit PSU for Palladium III Emulator

Calibron Systems 1AA0 Density Meter with Spud 60 Density Transmitter  Surplus

CALMETRICS S07494-2 ***17025 Accreditation Cert L2319 Set Working Surplus

CALMETRICS SCU 200 400 800 Thermo Fisher Calibration Set

Cambridge 3805 Compound Balance CVD Belt CB3-94-184-21-24 12x3/16" x 301"

Cambridge Fluid Systems 0010-91663 Gas Panel Used Working

Cambridge Technology 6860 Scanning Mirror Assembly Set of 2 Working Surplus

Canon Anelva A12-00776-0*** Bellows Cylinder 80x60 ST Set of 4 for 1015i Working

Canon BG9-4877 DISK-UNIT II PCB Card DK522C-10 FPA-2500 i3 Stepper Working Spare

Canon BH8-1976-01 EBR Driver PCB I4/I5 BG4-8312 BG8-3355 Working Surplus

Capacitec 4004-P115 Capacitive Displacement Modular Amplifier 4100-S Working

CAPRES A/S Copenhagen Applied Research 330010 PLC Unit KLA Working Surplus

CAPRES A/S Copenhagen Applied Research 330011 Power Supply Unit KLA Working

CAPRES A/S Copenhagen Applied Research 330014 Bridge Box M300/A300L Working

CAPRES A/S Copenhagen Applied Research M300 Microscope Inspection Unit Working

Cardos U1-209 Shutter Beam PCB Veeco Microetch 1201 Working Surplus

Carl Zeiss 45 19 38 Electronic Microscope Camera Head 451938 Used Working

Carl Zeiss 45 28 25 Microscope Body Axiotron with Stage Used As-Is

Carl Zeiss 45 74 92 Microscope System AF Controller 457492 Used Working

Carl Zeiss Axiotron MEG System Inspection Microscope Lot of 4 Incomplete As-Is

Carten 1018-538 Moisture Analyzer Connection  Surplus

Celerity 9010-02083ITL Fluid Systems Gas Panel Used Untested As-Is

Celerity FC-260V-4V Mass Flow Controller 54-106903A54 IN2 200SCCM H2

Celerity FC-261KZ-4V Mass Flow Controller 54-106902A24 20 SLPM HCl

Celerity FC-261V-4V Mass Flow Controller MFC 54-106904A07 20 SLPM H2

Celestica V019219U-001 VRM & Heatsink A2210 Upgrade Lot of 145

Ceramatec C7-01-1000-16-0 PureN2 Portable Oxygen Analyzer Working Surplus

CFF 450 TURBO Alcatel 8220 Turbomolecular Pump Controller Turbo Tested Working

CFM Technologies C22111-01 32x32 Interlock Board PCB Used Working

Chatillon BP15-400T III Mechanical Bench Scale Type 15 Used Working

Cherokee Europe PE3168/70 Rack Mount Power Supply FEI Company CLM-3D Used

Chiba Precision D34R10B Servo Motor Used Working

Chiba Precision ENC-153902G Servo Motor SJP Nikon NSR System Used Working

Chiba Precision ETC-214441G Servo Motor BLGPN NSR System Used Working

Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR Series Working Surplus

Chiba Precision SMP-30 1/16 HF Halving Motor X-8700 Nikon NSR Series Working

Chiba Precision SMP-30 1/6 AF Halving Motor X-8701 Nikon NSR Series Working

Christie 003-110235-01 3 Chip Panel Driver PCB Assembly 015-100071-01

Christie 010-100876-01 Motoblend Motor Driver Board Reseller Lot of 20 Working

Christie 03-260723R01P 3 Chip Panel Driver PCB 50-000723-01P

Christie 1.2:1 0.95" SXGA+/MINI-DC2K Front Projection HD Long Focal Lens Working

Christie 1.2:1 0.95" SXGA+/MINI-DC2K HD Long Focal Projector Lens Minolta Spare

Christie Digital Systems 003-120118-01 Projector Lamp CRMAX HD2Kc HD3K 500w

Christie Digital Systems 015-100023 Warping Module PCB MATRIX S+2K Working Spare

Christie Digital Systems 03-280725-01P MOD Formatter Blue PCB MATRIX S+2K Spare

Christie Digital Systems 03-280725-01P MOD Formatter Red/Green PCB MATRIX S+2K

Christie Digital Systems 50-000718-03P Backplane Module PCB MATRIX S+2K Working

Christie Digital Systems 50-000723-01P Panel Driver Module PCB MATRIX S+2K Spare

Chromasens 940 992 Camera PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Copper Used

Chromasens WBI-Scanmodul COB Wafer Stage CP 00055 KLA-Tencor 11020618106000 Cu

Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-612

Cirris 1000V Cable Tester Analyzer T1 1KV Signature Touch 1 Non Responsive As-Is

Cirris Systems 1000V Cable Tester 5.2a Analyzer T1 1KV Signature Touch 1 Working

Cirris Systems 1500V Tester Analyzer T1 1500V Signature Touch 1 Damaged As-Is

Cirris Systems 17-18930-03F H+ Scanner Mother PCB Assembly 17-25540-01B Working

CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working

CKD AMF-D-X1 Valve Control Board PCB AMF-D 101238-PRT-PR01 TEL Lithius Used

CKD AMF-V-X1 Pneumatic Valve TEL 5012-000089-11 Lithius with Cable & Base Spare

CKD LYX-1398 Pneumatic Valve Set of 2 TEL Tokyo Electron CT012-012055-1

CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Used

CKD SCA2Q-CA80B79.2H-FL Air Cylinder Hitachi 3-839347-A

CKD SCS-LNW-FA-140-B52.5-N11.5-HL24919 Pneumatic Cylinder Chamber Wafer Chuck

CKD SDM4050-2-C05 Super Dryer Membrane Air Dryer SD4000 Used Working

CKD SMC Valve Sensor Assembly CHV-8 VX3344K Lot of 9 Used Working

CKD TPR-03-A100T-X0002 Pressure Control TEL Tokyo Electron 3D80-001616-13

CKD TPR4-03-A100T-X0002 Pressure Control TEL Tokyo Electron 3D80-000325-11

CKD VEC-VF8-X0001 Pressure Controller Valve System No Bellows Surplus Spare

CKD VEC-VF8-X0001 Pressure Controller Valve System Working Surplus

CKD VEC-VH8G-X0102 Pressure Controller Valve System Working Surplus

CKD VEC-VP2-X0102 Pressure Control Vacuum Valve TEL Tokyo Electron Working Spare

CKD VYX-0226 Pressure Controller Valve System Working Surplus

Claymount 18475 Cable CA135 NG1 5.1M FEI Company 1147353  Surplus

Claymount 19944 CA56 Cable 4.66M FEI Company 4035 273 67951  Surplus

Claymount 21692 Cable M1 CA55 CA149 5.17M FEI Company 4022 268 01398  Surplus

Cleveland Motion Controls BMR2005TCD00CEA001 PM Servo Motor RHS-20-50-CC-SP Used

Cognex 200-0035 Machine Vision VideoMixer PCB Card 07-0202-00 Working Surplus

Cognex 200-0075-4 Vision Image Board 203-0075-RE PCB Card Used Working

Cognex 200-1019 Camera VISIONCARD PCB Card 10019 TEL 3281-000124-11 P-8 Working

Cognex 800-5829-1R A Fixed-Mount ID Reader Set In-Sight 5410R InfiniStix Used

Cognex VM16 203-0043-R Machine Vision Camera PCB Card 800-0057 Working Surplus

Cognex VPM-3434-1 In-Circuit Test PCB Card 200-0057-1 Electroglas 4085x Used

Coherent 1085037 Verdi Mother Board 1164578 1085758 Working Surplus

Coherent 1168285 Verdi Uno Power Distribution PCB 1102841 Working Surplus

Comark 51-LASER-216 WAFERLASE System Controller Computer WaferStar PC Working

Comdel FP9100R3 CPS/CX RFG Test System Tested AMAT 3920-01655 Tested Working

Comdel Match Pro RF Generator Controller MATCHPRO Tested Working Spare

Comdel PC6074 RF Generator PCB Module CDX-1000 FA0411RA Working Surplus

Comet 10009897.00 RF Match Phase/Mag Assembly 10007075 10007076 Working Surplus

Comet 10009898.01 RF Match Phase/MAG Module 5000W @ 13.56MHz Working Surplus

Comet 10011143.00 RF Match Network Control PCB 10011176.01 Working Surplus

Comet CFMN-250AAC/15-DE-E Fixed Vacuum Capacitor Reseller Lot of 8 Working

Comet RF Match Capacitor Assembly CVUN-1500AC CVUN-500BC PK244PA-C22 Working

Comet RF Variable Vacuum Capacitor Set of 3 CVBA-500BC CVUN-500BC CVUN-1500AC

Comet TVAC7KV Variable Capacitor Motor Assembly RA-27GM-CA26-12 Working Spare

Commell CMB-576 Industrial Computer Mini-ITX PC Inpeco Automation Working Spare

Compact ARFHD138X134 Pneumatic Air Cylinder Mattson 400-00457-00 Lot of 22

Compact Automation Products Q86-951-F1 Chamber Lift Assembly AMAT 0190-00173

CompactPCI E100901M00-A1 Backplane PCB RCP-D4C/NIK1 Nikon NSR FX-601F Working

Computer Dynamics SBC-486DX 14" Integrated Industrial Computer Working Surplus

Computer Recognition Systems TRK2/3 VME Control Rack Bio-Rad Quaestor Q5 Used

Computer Recognition Systems TRK2/5 Controller Rack Bio-Rad Quaestor Q7 Working

Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Quaestor Q5 Used

Concoa 523331A-01-580 2-Bank Gas Pressure Regulator AutoSwitch 523 Series Spare

Contec IPC-BX/M400(PC)H Industrial PC YieldUP 2000 Dryer Control SECS-II Working

Contec PC-586U(PC)-LV Single Board Computer 32MB 133MHz Nikon OPTISTATION 7 Used

CONTEC SPC-CLR-JMC2 Rack Mount Control Computer FA-UNITF8DR

Continuum Amplitude 621-0090 Laser System Power Supply CB1270 CB 1270 Surplus

Continuum Amplitude 621-0165 Laser System Power Supply DLS PS GENUS Surplus

Continuum Dual HVPS Controller PCB Set of 3 621-0009 657-0152 657-0159 Working

Control Concepts 1014R-05 SCR Power Controller with Cable Set Working Surplus

Control Concepts 2028B-1007 SCR Power Controller AMAT 0500-01139 Working Surplus

Control Concepts 2028P-1022 SCR Power Controller AMAT 0190-03327 Working Surplus

Control Concepts 2096-1002A SCR Power Controller AMAT 0190-43080 Surplus Spare

Control Concepts 2096-1002A SCR Power Controller AMAT 0190-43080 Working Surplus

Control Concepts 3095-1017 SCR Power Controller AMAT 0190-03672 Working Surplus

Control Concepts 3096-1007 SCR Power Controller AMAT 0190-10928 Working Surplus

Control Concepts 3096-1007A SCR Power Controller AMAT 0190-43079 Working Surplus

Control Concepts 3096-1014A SCR Power Controller AMAT 0190-46114 Working Surplus

Control Concepts CF-PA-3F00-D-0000-0000-SP1 SCR Power Controller Working Spare

Convergent Technologies 60-00715 S80 CPU Board PCB KLA Instruments 75 Working

CoorsTek 1450000200 10.5" Disconnect Insulator Mattson 145-00002-00  Surplus

Coorstek 2450000300 Insulator Disk Mattson 245-00003-00 Aspen II Aspen III

Coorstek 3040168400 Ceramic Tube Mattson 304-01684-00 Aspen II Aspen III

Copley Controls 07-01156-000 CAN Interface PCB Card CAN-PCI-02 Rev. B Working

Copley Controls 800-1080 Servo Drive Therma-Wave OPTI-PROBE OP 7341 Working

Copley Controls 800-1511 Accelnet AMAT Applied Materials 0190-23542 Working

Copley Controls 800-1536 Accelnet AMAT Applied Materials 0190-24676 Working

Copley Controls 800-1537 Accelnet AMAT Applied Materials 0190-24677 Working

Copley Controls 800-1604A Xenus Servo Drive AMAT 0190-31258 Working Surplus

Copley Controls 800-1718 Servo Motor Controller Accelnet Working Surplus

Copley Controls 800-1763 Servo Drive Amplifier Xenus Plus Working Surplus

Copley Controls 800-1773A Accelnet AMAT Applied Materials 0190-43437 Working

Copley Controls 800-1774A Accelnet AMAT Applied Materials 0190-43438 Working

Copley Controls 800-1783 Accelnet Plus 2-Axis AMAT Applied Materials 1080-00914

Copley Controls 800-1831 Servo Drive AMAT Applied Materials 0195-13833 Working

Copley Controls 800-1846 Dual Axis Servo Drive Xenus PLUS Working Surplus

Copley Controls 800-1849 Servo Drive AMAT Applied Materials 1080-01431 Working

Copley Controls 800-1894 Servo Drive AMAT Applied Materials 0195-14416 Working

Copley Controls 800-2018 Servo Drive AMAT Applied Materials 1080-01750 Working

Copley Controls 800-2122 Servo Drive AMAT Applied Materials 1080-02356 Working

Copley Controls 800-299A Servo Motor Drive Amplifier Working Surplus

Copley Controls BE2-090-06 Accelnet Plus 2-Axis Servo Drive Working Surplus

Copley Controls BE2-090-14 Accelnet Plus 2-Axis Servo Drive Working Surplus

Copley Controls PST-070-08-DP-E Power Unit Therma-Wave OPTI-PROBE OP 7341 Spare

Copley Controls TE2-090-09 Stepper Motor Drive Stepnet PLUS Working Surplus

Copley Controls XE2-230-20-H Servo Drive Amplifier Xenus Plus 2-Axis Working

Copley Copley Controls 800-1504 Servo Motor Controller Accelnet Working Surplus

Cosel AC6-02HHV-00 W 650W Power Supply ACE650F Working Surplus

Cosel AC6-2H2HM-04 Power Supply ACE650F Nikon NSR FX-601F Working Surplus

Cosel AC6-ICCBB-00 Power Supply ACE650F Nikon NSR-S620D ArF Immersion Working

Cosel AC6-IHHCB-00 Power Supply ACE650F Nikon NSR-S620D ArF Immersion Working

Cosel ACE900F Power Supply AC9-NCCCCC-00 Nikon NSR-S620D ArF Immersion Working

Cosel M1T-IIII-00-XNN Modular Power Supply Max1600T Lot of 2 Working Surplus

Cosel PMC15-2 A1 +15VDC Power Supply V81-306402-5 Reseller Lot of 5

Cosense 092-20106 Continuous Liquid Level Sensor ASM 1005-712-01

Cosmo VPG-86A 8-Axis Motor Controller VME PCB Card Rudolph F30 Working Surplus

CovalX HM2 High Mass Detection System MALDI Shimadzu AXIMA with Cables Untested

CovalX Mainboard v4.4 Processor PCB HM3 TUVO High-Mass MALDI Working Surplus

CPS-250 Comdel CPS-250/3.39 RF Power Source 250W @ 3.39Mhz Used Tested Working

Crouzet PAM 3 PC 3210 Multiplexer PCB TEL Tokyo Electron 3310440 Lot of 20 Used

Crown Regulator Valve ERSB-2019-WX Lot of 15 Used Working

Crydom Company D2410 Solid-State Relay Reseller Lot of 25  Surplus

CTI-Cryogenics 0190-27350 On-Board P300 Cryopump AMAT Incomplete Surplus

CTI-Cryogenics 3PH 3-Phase Motor Controller Unit On-Board Working Surplus

CTI-Cryogenics 8031300 8500 Helium Compressor Power Supply Assembly  Surplus

CTI-Cryogenics 8032224 Cryogenic Helium Compressor SC Helix Untested As-Is

CTI-Cryogenics 8043155G600 Cryopump Supply CryoLine 50' AMAT 3400-01374 Working

CTI-Cryogenics 8043156G600 Cryopump Return CryoLine 50' AMAT 3400-01375 Working

CTI-Cryogenics 8043339G600 Cryopump Return CryoLine 50' AMAT 3400-00059 Working

CTI-Cryogenics 8043340G600 Cryopump Supply CryoLine 50' AMAT 3400-00060 Working

CTI-Cryogenics 8052000 8300 Helium Cyro Compressor System 8100 Controller As-Is

CTI-Cryogenics 8052000 Cryogenic 8300 Compressor Cryopump Tested Working Surplus

CTI-Cryogenics 8052000 Cryogenic 8300 Compressor with Adsorber Tested Working

CTI-Cryogenics 8052261 Cryo Compressor 8010 Controller  Surplus

CTI-Cryogenics 8052300G001 Cryopump Controller Control Module 8011 Working Spare

CTI-Cryogenics 8096-013G001 Cryogenic Compressor Helix Untested As-Is

CTI-Cryogenics 8112463G050 Cryo Pump Power Cable 5 Foot Reseller Lot 4 Working

CTI-Cryogenics 8112463G050 Cryogenic Pump Power Cable On-Board 5 Foot  Spare

CTI-Cryogenics 8124063G001 3-Phase Motor Controller Unit On-Board Untested As-Is

CTI-Cryogenics ASM 502-034 FastRegen Control PCB Module 002-034F Working Spare

Cuno Filter CT101 CT Series DI Filter Housing 44153-01 Reseller Lot of 3

Cutler-Hammer ELJD3225 Industrial Circuit Breaker ELJD ELD243 AMAT Working Spare

Cutler-Hammer HKD3400F Industrial Circuit Breaker 1492D82G03 KT3400T Working

Cutler-Hammer JDB3125 Industrial Circuit Breaker JDB 35k Eaton

Cutler-Hammer KD3400F Circuit Breaker KD 35k Mattson 525-09496-00  Surplus

Cutler-Hammer LGE3630NN Industrial Circuit Breaker L630E ELLBN3400W AMAT Working

Cutler-Hammer LGE3630NN Industrial Circuit Breaker L630E ELLBN3600W AMAT Working

CX-2500 Comdel FP3305R1 RF Generator 3.39MHz Tested Not Working No Output As-Is

Cybor 35044-01 Photoresist Pump Control DB9 Module PCB 506A 59274-01 SVG Working

Cybor 4-716-030 Photoresist Pump 5026-00-0K-0P-T6 Solenoid 2-215-714 Working

Cybor 5016C Photoresist Pump 05116-01 -0K ASML SVG 90S DUV Working Surplus

Cybor 5116 C E Photoresist Pump 00511-01 ASML SVG 90S DUV Working Sulplus

Cybor 5116 Photoresist Pump 5116-01 -0K 00511-01 ASML SVG 90S DUV Untested As-Is

Cybor 5116C Photoresist Pump 05116-01 -0K ASML SVG 90S DUV Untested As-Is

Cybor 512F Photoresist Power Supply Module 512 ASML SVG 90S DUV Working Spare

Cybor 512F Photoresist Power Supply Module 512 SVG 90S DUV Loose Cover Working

Cybor 512G Photoresist Power Supply Module 512 Used Working

Cybor 512H Photoresist Power Supply Module Model 512 SVG 88 Loose Cover Working

Cybor 512H6 Photoresist Power Supply Module Model 512 ASML SVG Series 88 Working

Cybor 527E5-ACT8 Photoresist Pump Control Module TEL Tokyo Electron ACT8 Working

Cybor ADS660-00 Control Module Pneumatic Interface ADS660-12 Used Working

Cymer 05-05031-15V Signal Conditioner PCB Board Used Working

Cymer 05-05182-00 Interface Board Used Working

Cymer 05-05184-00 Rev. 001 ADC Interface Board Used Working

Cymer 05-05184-00 Rev. 011 ADC Interface Board Used Working

Cymer 05-06080-04 Automatic Shutter Assembly Used Working

Cymer 05-11019-02 Power Supply Discharge Chamber ELS-6400 Laser System Used

Cymer 06-06025-01 Auto Shutter ELS-6400 Excimer Laser System Used

Cymer E05-06080-04 Automatic Shutter Assembly 5000/6000 Series Laser ASML Used

Cymer S05-09005-05 Stabilization Module Used Working

Daeil Systems NW100B Bellows ID 3-3/4" Length 9-3/4" Lot of 15 Used Working

Daifuku 300mm Wafer Transport FOUP FOSB Asyst Shinko Panasonic Working Surplus

Daifuku CLW-3714A Processor Interface Board PCB CLW-3720A OPC-2677A Working

Daifuku LDE-3588A Automation Processor PCB SAM21-B4 Working Surplus

Daifuku LDS-2691A System Processor Board PCB Working Surplus

Daifuku LDS-2691B Processor Interface Board PCB Used Working

Daifuku LDS-3713A System Convertor Interface Board PCB Working Surplus

Daifuku LNK-358*** Processor Board PCB Card BX8461AW Working Surplus

Daifuku OPC-5600A SBC Single Board Computer VMEbus PCB Card MEM-5691B Used

Daifuku PIO-3786A LED Display Board PCB Used Working

Daifuku VME-5620D Processor VMEbus PCB Card MEM-5692A Used Working

Daihen AGA-27C RF Generator TEL Tokyo Electron 3D80-000825-11 Copper Cu Working

Daihen AGA-27C-V RF Generator TEL 3D80-000825-V3 Copper Cu Exposed Working Spare

Daihen AGA-27C-V RF Generator TEL Tokyo Electron 3D80-000825-V3 Working Spare

Daihen AGA-27C-V RF Generator TEL Tokyo Electron 3D80-000825-V4 Working Surplus

Daihen AGA-27C-V RF Generator TEL Tokyo Electron 3D80-000825-V5 Working Surplus

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 Bent Panel Tested

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 DC Fault As-Is

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 Match Untested

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 No RF Match Untest

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V1 Tested Working

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V2 Tested Working

Daihen AGA-50B2-V RF Generator DGP-120A2-V TEL 3D80-001479-V2 Untested Spare

Daihen AMN-50K1-V RF Auto Matcher TEL Tokyo Electron 3D39-000008-V1 Cu Working

Daihen AMN-50K-V RF Auto Matcher TEL Tokyo Electron 3D39-000008-V1 Copper Spare

Daihen AMN-50L-V RF Auto Matcher 3D39-000004-V2 Copper Exposed As-Is

Daihen DCP-208-24 DC Power Supply AMAT Applied Materials 0190-36252 Working

Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working

Daihen HFA-50A-V RF Match TEL Tokyo Electron 3D80-000157-V2 Working Surplus

Daihen MFT-20SB Adjustable Proportional Dual Output RF Splitter Working Surplus

Daihen NX-MGA-***1 RF Power Generator 400kHz TEL Tokyo Electron Trias Working

Daihen NX-RMN-6D RF Matching Controller TEL Tokyo Electron 3D39-000007-11 Spare

Daihen RG-346201 RF Generator PCB RG-3465B RG-3464A RG-3463 Lot of 6 Working

Daihen RGA-10D-V RF Generator TEL 3D80-000826-V3 Copper Cu Not Working As-Is

Daihen RGA-10D-V RF Generator TEL 3D80-000826-V5 Interlock Not Working As-Is

Daihen RGA-10D-V RF Generator TEL Tokyo Electron 3D80-000826-V2 Copper Cu As-Is

Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V4 Copper Cu Exposed Working

Daihen RGA-10D-V RF Power Generator TEL Tokyo Electron 3D80-000826-V4 Working

Daihen RGA-50C-V RF Power Generator 5000 Watt TEL 3D39-050099-V4 Untested Spare

Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Damage

Daihen RMN-20E4-V RF Auto Matcher TEL Tokyo Electron 2L39-000035-V2 Spare As-Is

Daihen RMN-3*** RF Auto Matcher TEL Tokyo Electron 2L39-000103-21  Surplus

Daihen RMN-50B RF Matching Box 5000W @ 13.56MHz Untested Spare Surplus

Daihen RMN-50T-V RF Auto Matcher TEL Tokyo Electron 3D39-000002-V4 Working Spare

Daihen RMN-50T-V RF Auto Matcher TEL Tokyo Electron 3D39-000002-V5 Copper As-Is

Daihen RMN-50U RF Auto Matcher 13.56MHz @ 5kW TEL Tokyo Electron Working Spare

Daihen RMN-50W-V RF Auto Matcher TEL Tokyo Electron 3D39-000012-V1 Copper As-Is

Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Working

Daihen WGA-50E-V RF/DC Generator Stack TEL 3D80-001480-V1 Untested Spare

Daihen X3878M Robot Cooling System Utility Box Working Surplus

Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used

Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used

Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN Used Working

Daikin TBR1***MX Heat Exchange Brine Chilling Unit Tested Not Working As-Is

Danaher Motion T126-0001 eZMP Motion Controller Working Surplus

Datacube MAX VIDEO 20 Architectural Adapter 260-0599 PCB Card Orbot WF 720 Used

Datalux X12-0001 12" HMI Touchscreen Display Mattson Technology 518-03467-00

Daytronic 3530 Indicator Display SEM Vision CX Used Working

DCG-200Z ENI DCG-200Z-S0J 20kW DC Plasma Generator SLAVE Rev. A Working Surplus

DECSYS NVCEX-X4-CONN-2nd Camera Controller Interface Panel PCB Nikon NSR Working

DECSYS NVCEX-X4-CTL-2nd Camera Control PCB Nikon NSR Series Working Surplus

DECSYS NVCEX-X4-VIDEO-2nd Camera Control PCB Nikon NSR Series Working Surplus

Dell Optiplex 755 Alliance Envision Backup Control PC Lam Research Continuum

Dell OptiPlex GX200 Desktop Computer System MMP JEOL JSM-6400F SEM No Boot As-Is

Dell Optiplex GX280 Computer Nikon OST-7 DART Interface OPTISTATION 7 Working

Dell SMP01 Server PowerEdge 2650 KLA-Tencor 0082678-000 eS31 E-Beam Working

Delta DC Power S27505CTX1 Power Supply 1375W Used Working

Delta Design 1661333-502 68000 Master Board PCB 1661332-401 Used Working

Delta Design 1970001102 Control Computer Summit ATC Handler Rev. A Used Working

Delta Design 1970001102 Control Computer Summit ATC Handler Rev. D Used Working

Delta Design 97-9045-01 Computer 07-01156-000 Summit ATC Handler Used Working

Delta Design Power Summing Module Lot of 13 Used Working

Delta Tau 602239-102 PCB Card PMAC ACC14-V 500-22560-000 KLA-Tencor eS31 Working

Delta Tau 602413-101 PCB Card PMAC 2-VME 500-22555-000 KLA-Tencor eS31 Working

Delta Tau 603603-107 Main cPCI 96 I/O PCB Card AMAT 0190-81659  Surplus

Deltron 11686XA Power Supply 666 Watts Lam Research FPD Continuum Working Spare

Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working

Denichi Computer Devices EN-6138 Industrial Computer 1.2GHz Cognex MVS 8100

Densan DVE-511 8-Channel VME Slave PCB Card DPM-506A Rudolph F30 Working Surplus

Densan DVE-721 Analog I/O VME PCB Card 300mm Rudolph F30 Working Surplus

Densei Lambda PS-1201U Momentary Line Drop Protector As-Is

Denso 253213-0030 Servo Driver Board PCB PZTDRIVER Nikon NSR Working Surplus

Denso 593682-2020 Servo Driver PZTDRIVER Nikon 4S587-634 Damaged Plug NSR As-Is

Denso 593682-2020 Servo Driver PZTDRIVER Nikon 4S587-634 No Connector NSR As-Is

Denso 593682-2020 Servo Driver PZTDRIVER Nikon 4S587-634 NSR System As-Is

Denso 593682-2044 Driver Board PCB Nikon NSR System Used Working

Denso TL-PP136A Driver Capacitor Board PCB PZTDRIVER Nikon NSR Working Surplus

Deposition Technology DTI-12431 Ring Clamp MCAP ARC Sprayed

Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working

Deublin SR0164 Rotary Union AMAT Applied Materials 0190-53913 Working Spare

Deublin SR0227 Rotary Union AMAT Applied Materials 0190-76782 Working Spare

Devicenet 10150603 16’6” Cables Lot of 25 used working

Devicenet 10150603 20’ Cables Lot of 13 used working

Diavac Limited LCAV-25H Pneumatic Angle Valve Reseller Lot of 10 Used Working

Dicing Technology UNI-DICE IV Dicing Saw 150mm 6" Chuck Untested Surplus

Digital 26990-6850 16 MByte MOS Memory PCB Card LPWR GS-2 DEC Working Surplus

Digital 50-17646-01 J1 DELQA Ethernet Controller PCB Card Working Surplus

Digital 50-20345-01 E2P1 Motherboard KA46 SYSTEM BOARD PCB Working Spare

Digital Dynamics 02-121954-00 DAMACLEAN BB-DFE Novellus 02-120201-00

Digital Dynamics 02-126829-00 sioc PVD Preclean 0 Interlock Novellus Working

Digital Dynamics 02-134495-00 ANNEAL sioc Novellus 01-133875-00 Working Surplus

Digital Dynamics 02-161290-00 sioc WTS HV 3 Interlock Novellus Systems Working

Digital Dynamics 03-136528-00 C4D sioc 0 Controller Novellus 02-157034-00

Digital Dynamics 03-160402-00 sioc WTS-HV SIOC 3 Novellus Damaged LED As-Is

Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working

Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Systems Working

Digital Dynamics 27-053660-00N sioc Power Supply Module Novellus Used Working

Digital Dynamics 27-109708-00N sioc ALTUS 2 Interlock Module Novellus Used

Digital Dynamics 27-134495-00 sioc ANNEAL 4 Interlock Module Novellus Used

Digital Dynamics 27-152970-00N sioc Controller Module Novellus Systems Working

Digital Electronics 2980070-11 Touch Screen Display Pro-Face NSR-S307E Used

Digital Electronics GLC2300-TC41-24V Pro-Face 6” Touch Panel 2980070-12 Used

Digital Electronics UF7810-DV2-2 15" LCD Flat Panel TEL Tokyo Electron Surplus

Digital Electronics UF7811-2-DV1-24V-TE1 Flat Panel TEL EC49-000005-11 Surplus

Digital Electronics UF7811-2-DV2S-24V Operator Panel TEL PR300Z Used Working

Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working

Digital View AV-7010-0120-A4 Display Screen Monitor Used Working

Digital View SV-7870-40M0-HN 19" LCD Display Monitor 4162135-10 KLA-Tencor eS31

DIP 15049105 DeviceNet I/O PCB Card CDN491 201-235 AMAT 0190-02506 Lot of 2

DIP 15049602 CDN496 PCB Card 516-042 AMAT Applied Materials 0190-06172 Working

DIP 486-025 PCB Card CDN497 AMAT Applied Materials 0190-06178 Working Surplus

DIP EH0111(A)-3 Power Supply PCB EH0111 Reseller Lot of 4 TEL Lithius Working

DIP Proofing Technologies DPI52S 25208 Voltage Dip-Proofing Inverter  Spare

DIP Proofing Technologies DPI52S 50208 Voltage Dip-Proofing Inverter  Spare

DIRECTED LIGHT CAV-10965 Cavity Reflector Gold Housing QTRNX116

Disco NBC-ZH 105F-SE Diamond Blade 27HEGF Reseller Lot of 100  Surplus

Diversified Technology 651000126 CXT100A PCB Card Tencor 156256 P-2H Working

DNS Dainippon Screen 150mm Wafer Developer Stage SC-W60A-AV Photoresist Used

DNS Dainippon Screen 2-J3-33095 Ceramic Vacuum Transfer IH Arm End Effector

DNS Dainippon Screen 5-F1-80491 Wafer Transport  Surplus

DNS Dainippon Screen FEPS-002 Electronics Junction Box Used Working

DNS Dainippon Screen Gas Control Box FC-3000 Wet Station System Working Spare

DNS Dainippon Screen Nitrogen N2 Control Box 80A/80B Scrubber System Surplus

DNS Dainippon Screen PC-02032C-0123 8-Channel Interface VME PCB Card SIF Working

DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used

DNS Dainippon Screen PC-97009 Interface PCB Card COMDIV SL-3010 FC-3000 Working

DNS Dainippon Screen PC-99033C-00 VME PCB Card VME-HLS-DS SL-1012A Working Spare

DNS Dainippon Screen PC-99033D-0123 Processor PCB Card FC-3000 Wet Station Used

DNS Dainippon Screen Process Control Unit1 Box FC-3000 Wet Station System Spare

DNS Dainippon Screen SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A Used

DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 Used

DNS Dainippon Screen Terminal SM Unit HLS-MC1A HLS-MC2 HLS-MC4 FC-3000 Working

DNS Dainippon Screen Wafer Shutter Relay Assembly Aquaspin SU-3000 Working Spare

DNS Dainippon Screen WNA Wafer Transfer Assembly FC-3000  Surplus

Donaldson P199595REFILL Lithoguard BSM Max Filter Refill Cleanroom

Donaldson P514718 Chemical Line Filter

Dover Instrument Corp. DMM 2100 Servo Controller

Dressler VM 5000 W RF Matching Network 13.56MHz @ 5K VM5000W Working Surplus

DRYVAC2 100 P Leybold 13885 Dry Vacuum Pump 12 mTorr Tested Working Surplus

DS TECHNO CO. KMC-QD-026 SMOOTH QUARTZ DISK Used Working

DS Techno Co. S3T40542 Upper Electrode (10T)

DuPont D14799758 UltraPure O-Ring 456K8900 Lot of 6 Aviza VTR 7000 Kalrez

DuPont D14951967 UltraPure O-Ring 254K9500 Kalrez Lot of 8 AMAT P5000

Duratek A08-001-2S Anodized 150mm Susceptor AMAT 0010-02863 P5000

Duratek A08-018-1 Anodized 6" 150mm Susceptor AMAT 0010-60015

DUX ADP-506-22 SBC Single Board Computer PCB Card 2001-506A ADP-600-01 Untested

DUX ADP-506-22 SBC Single Board Computer PCB Card 2001-506A Untested Surplus

DY4 Systems DSTD-101-004 CPU Processor PCB Card PD-STD101 Verteq 1068395-11

Dymax BlueWave UVCS LED High-Intensity Light-Curing System PrimeCure Untested

Dynatronix 138-0203 Processor Board PCB Lot of 3 Timing Forward Reverse Working

DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC Used

Dynatronix 990-0280-311 Pulse Power Supply Assembly PMC10-5-7 PR

Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151  Surplus

Dynax DNX5171 Processor Assembly PCB F104-CPU F104-CPU DNX5191 Lot of 3 Working

EAD Motors LA23GCKA-2P KLA-Tencor SP1 Linear Actuator Assembly 740-772820-000

Eaton 6633C81G03 LD 35k 600V 3-Pole Industrial Circuit Breaker LD3600F Working

Eaton E125B Circuit Breaker Lot of 4 EGB2040FFG EGB3030FFG EGB3060FFG Working

Ebara 142-000408 Turbomolecular Pump Cable WTS-HV Novellus 38-131789-00

Ebara 217601-301A Vacuum Dry Pump Interface 517601-301A with Cables  Surplus

Ebara 2L80-002665-21 PCU Controller PCC40-2 TEL Tokyo Electron  Surplus

Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-470000 Varian Spare

Ebara LE Series Cold Trap Turbomolecular Pump Assembly 0760-471000 Genesis Used

Ebara LE-289 Cold Trap Turbomolecular Pump Assembly HT-018-MCP1 Varian Working

Ebara LE306 Cold Trap Turbomolecular Pump Assembly 0760-470000 Ulvac Turbo As-Is

Ebara LE-336 Cold Trap Turbomolecular Pump Assembly HT-018-MSP0 Varian Working

Ebara LE-559 Cold Trap Turbomolecular Pump Assembly HT-018-MCPQ Varian Working

Ebara PDV250 Portable Dry Vacuum Pump PDV Series Needs Rebuild Tested As-Is

Ebara Technologies 804W-A Turbomolecular Pump Controller Turbo Error As-Is

Ebara Technologies 804W-A Turbomolecular Pump Controller Turbo Missing PCB As-Is

Ebara Technologies AA20 V1 Dry Vacuum Pump Low Water Flow Alarm Tested As-Is

Ebara Technologies AA20 V1 Dry Vacuum Pump Motor Tripping Alarm Tested As-Is

Ebara Technologies PDV250 Portable Dry Vacuum Pump For Parts Untested As-Is

EBM Papst 505-3004 System 3000 24 & 48V Fan Monitor PCB Reseller Lot of 9 Used

EBRAIN 650-VMW12T Backplane Interconnect Board PCB EBRAINS Working Surplus

EBRAINS 650-VME8T Backplane PCB VMEBUS-J1 Nikon NSR FX-601F Working Surplus

ECI Technology TLA-511 Interface Processor Board PCB Card QLC-5001 Used Working

Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B 40R Edwards TPU Used

Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used

Eclipse LP64-20 Low Pressure Proportional Mixer 106BV-B Edwards TPU Working

Edwards 1264 EPI Bypass Valve Assembly HELIOS Gas Abatement Systems

Edwards 15-117029-00 (TiN) Dark Space Ring Shield

Edwards 15-136402-01 Dark Space Ring Shield

Edwards 1850 Mechanical Vacuum Throttle Valve ***200 Tegal 6500 Used Working

Edwards 2XQ80-QMB1200 Power Distribution Box Novellus Concept II Used Working

Edwards 500052685 Helios Combustion Chamber Head Used Working

Edwards A28709505 Repair Kit 25mm 3WY T-Port VLV Reseller Lot of 4 Sets

Edwards A528-19-000 QDP Vacuum Pump Exhaust Silencer Muffler  Surplus

Edwards A53397004 ILN Gas Module Assembly for iH iL Vacuum Pumps Working Surplus

Edwards B90002051 Basement Gate Valve VAT Series 09.1 Copper Cu Exposed Working

Edwards D059 14 000 Vacuum Interlock Switch IS16K Lot of 12 with Plug Working

Edwards D059 14 000 Vacuum Interlock Switch IS16K Reseller Lot of 12 Working

Edwards D059 14 000 Vacuum Interlock Switch IS16K with Plug Working Surplus

Edwards D37291400 iL Series Vacuum Pump Electrics Module DP ITIM IH AC Spare

Edwards D37291400 iL Series Vacuum Pump Electrics Module DP ITIM IH AC Working

Edwards D37360310 Micro Tool Interface SPI Working Surplus

Edwards D37370761 iGX Accessory Module  Surplus

Edwards D37410212 iH iL Vacuum Pump Standard Control Module SMA Assembly Working

Edwards D37410212 iH iL Vacuum Pump Standard Control Module Tested Working

Edwards D37410212 iL70N Vacuum Pump Standard Control Module SMA Assembly Working

Edwards D37410212 Intel 600 Vacuum Pump Control Module I.F. Working Surplus

Edwards D37410212 Intel 70 Vacuum Pump Control Module I.F. Untested Spare

Edwards D37410212 Intel 70 Vacuum Pump Control Module I.F. Working Spare

Edwards D37410212 Intel 70 Vacuum Pump Control Module I.F. Working Surplus

Edwards D37410212 Intel 70 Vacuum Pump Control Module SMA Assembly Working Spare

Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used

Edwards D38661000 Triple Display Active Gauge Controller Working Surplus

Edwards DN 200 6" ***-F Pneumatic Gate Valve No Copper Working Spare

Edwards GVSP Series Dry Scroll Vacuum Pump 29339 Hrs Tested Working Copper As-Is

Edwards GVSP30 Dry Scroll Vacuum Pump 30663 Hours Copper Exposed Tested As-Is

Edwards Helios Head Assembly Short Body Combustion Chamber Used Working

Edwards iGX 6 Vacuum Pump Switchbox NRY0190412 with D37280700 Controller Working

Edwards iQDP40 Dry Vacuum Pump with Frame Untested for Parts or Repair As-Is

Edwards NGU078000P 6-Pump Vacuum Pump Switch Box 6XPDM Reseller Lot of 5 Working

Edwards NGV466000 Power Distribution Box w/ Cables NGV466010-D Used Working

Edwards NGV469520-A UHV Vacuum Nipple Stainless Steel LF160 ***-K 20.75"

Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used

Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Cu Damaged Plug As-Is

Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Damaged Untested Spare

Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Used Working

Edwards NGW073000 Pneumatic Gate Valve ***100 Copper Cu Exposed Used Working

Edwards NGW414000 Pneumatic Gate Valve NW50 Manufacture

Edwards NGW415000 Pneumatic Gate Valve Copper Cu Exposed Working Spare

Edwards NGW415000 Pneumatic Vacuum Gate Valve Humphrey 410 70 Copper Cu Working

Edwards NGW415000 Pneumatic Vacuum Gate Valve Humphrey 410 70 Working Surplus

Edwards NGW416000 Pneumatic Gate Valve Copper Cu Exposed Working Spare

Edwards NQ4L2194HP Vacuum System Q Controller QMB QDP Untested Surplus

Edwards NRD75100H Helios Head Assembly Combustion Chamber Factory

Edwards NRD75100H Helios Head Assembly Combustion Chamber Used Working

Edwards NRY0190412 6-Pump Vacuum Pump Switch Box 6XPDM Reseller Lot of 10 Spare

Edwards NRY13W000 Electrical Cabinet Vacuum 4-Pump Rack No Copper Working Spare

Edwards NRY1GR101US Power Distribution 3xIGX600M/2xIGX100L iGX Pumps TEL Trias

Edwards QDP80/QMB1200 Control Box Novellus Concept II Altus Used Working

Edwards W185-08-001 Mechanical Vacuum Throttle Valve 1850 ***200 Tegal 6500 Used

Edwards Y12201000 Helios Combustion Chamber Head 500052685 Used Working

Edwards Y12201000 Helios Head Assembly Combustion Chamber Factory

Edwards Y12201027 Helios Combustion Chamber Head Short Body 500052685 Working

Edwards Y12201027 Helios Head Assembly Long Body Combustion Chamber Working

Edwards Y12501001 Helios Head Assembly Combustion Chamber Factory

Edwards Y12501169 Helios Combustion Chamber Head 500052685 Used Working

Edwards Y14012050 TMS Heater EXH 40mm D 50mm LG Reseller Lot of 19  Surplus

Edwards Y14103001 TMS Insulation 1 MTR 80mm Reseller Lot of 17  Surplus

Edwards Y35201533 Inlet Lance Long Stroke ACT PK2 Reseller Lot of 5 Sets

Edwards Y35531301 Gas Abatement Scrubber Combustion Helios 6I Head TPU Working

EG&G Instruments 7220 NI Nano Indenter CSM/LFM Control Unit MTS Lot of 2 Surplus

EIZO 0FTB0011 19" Color LCD Monitor FlexScan S1921 NSR-S307E Used Working

EIZO 0FTD0659 19" Color LCD Monitor FlexScan L768 NSR-S307E Used Working

EKK Technologies M-EKK Series Magnetic Fluid Vacuum Seal PK543AW-A42 Spare

Eksigent 5018238 High Micro Gradient Flow Module Quattro Kit Ekspert nanoLC

Eksigent 5025945 Pump Distribution Interface PCB ekspert nanoLC 425 Working

Eksigent Dual Pump Controller 5016863 & Valve Module ekspert nanoLC 425 Working

Eksigent Dual Pump Controller 5025944 & Valve Module ekspert nanoLC 425 Working

Eksigent ekspert nanoLC 425 Syringe Pump 5018236 5018237 5018239 Surplus Spare

Eksigent Flow Module and Cage Lot of 3 5018239 Loading 5018238 Gradient Working

Eksigent NLC 400x AS LC-MS Autosampler NanoLC 400 Untested Spare As-Is

Eksigent Pump Assembly 5023985 Parker 400-00315 Lot of 2 ekspert nanoLC Working

Eksigent Pump Assembly 5025940 Parker Reseller Lot of 3 ekspert nanoLC Working

Eksigent Single Pump Controller 5016863 & Valve Module ekspert nanoLC 425 Spare

Eksigent Technologies 920 Autosampler with NanoLC-2D HPLC System Untested Spare

Eksigent Technologies ekspert nanoLC 425 Syringe Pump 5057622 5059134 Surplus

Electro Optical A630-413-3030 NTM3 SPD-S Probe Novellus 02-101176-00

ElectroCraft 0643-03-041 Servo Motor E643 Assembly AMAT Working Surplus

Electro-Craft 1842412039 DC Servo Motor with Harmonic Drive Working Surplus

Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. C Used

Electroglas 200mm Wafer Prealigner Inspection Stage Camera 256286-001 4085X Used

Electroglas 247213-003 Main System Board PCB Rev. U Working Surplus

Electroglas 247213-003 Main System Board PCB Rev. W 4085x Horizon PSM Working

Electroglas 250957-001 Inspection Camera Module 250959-001 200mm 4085X Working

Electroglas 251074-002 Power DAR 2 Card PCB Rev. G 4085x Horizon PSM Spare

Electroglas 251074-002 Power DAR 2 PCB Card Rev. K 4085x Horizon PSM Working

Electroglas 251074-002 Power DAR 2 PCB Card Rev. L/N 4085x Horizon PSM Working

Electroglas 251074-002 Power DAR 2 PCB Card Rev. M/N 4085x Horizon PSM Working

Electroglas 251074-002 Power DAR 2 PCB Card Rev. N 4085x Horizon PSM Spare

Electroglas 251411-002 CPU 020 Card PCB Rev. D 4085x Horizon PSM Spare

Electroglas 251411-002 CPU 020 PCB Card Rev. D Used Working

Electroglas 251411-002 CPU 020 PCB Card Rev. F Used Working

Electroglas 251411-002 CPU 020 PCB Card Rev. J 200mm 4085X Horizon Used Working

Electroglas 251411-002 CPU 020 PCB Card Rev. J/R Used Working

Electroglas 251411-002 CPU 020 PCB Card Rev. N 4085x Horizon PSM Working Surplus

Electroglas 251411-002 CPU 020 PCB Card Rev. T 4085x Horizon PSM Working Surplus

Electroglas 253245-001 200mm Wafer Prealigner Inspection Stage 4085X Working

Electroglas 253255-001-B Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is

Electroglas 253255-001-C Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is

Electroglas 255413-001 200mm Wafer Prealigner Assembly 4085x Horizon Working

Electroglas 255901-001 200mm Wafer Prober Stage Electroglas 4085X Used Working

Electroglas 256303-003 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working

Electroglas 258468-001 Wafer Transport 247012-001 4085X with Cable Set Working

Electroglas 258468-001 Wafer Transport 247012-001 4085X Working Spare

Electroglas Horizon 4085X Visual Inspection Module Used Working

Electroglas Optical Wafer Stage Assembly 4085X Horizon 200mm Used Working

Electroglas Pneumatic Module Assembly Complete 200mm 4085X Horizon Used Working

Electroglas Pneumatic Module Assembly Incomplete 200mm 4085X Horizon Used

Electroglas PSM-C Power Supply Module with PDS 4085x Horizon 200mm Working Spare

Electroglas RMHM4 Controller Module 253643-001 4085X Horizon Used Working

Electronic Measurements 20S30-1-0502 TCR Power Supply Scan Bias Varian Untested

Electronic Measurements 20S30-1-0502 TCR Power Supply Scan Bias Varian Working

Electronic Measurements 20S30-1-0502 TCR Power Supply Scan Offset Varian Working

Electronic Measurements 40S70-2-0503 TCR Power Supply Varian H5467001 Working

Electronic Measurements 452-10*** Filament Power Supply Varian E19006070 Working

Elgar 5160033-01 Output Panel PCB Assembly 5160025-01 SW5250A Working Surplus

Elgar 5161272-03 16A Rectifier Module Assembly SmartWave SW5250A Working Surplus

Elgar 5161274-02 Amplifier Module Assembly SmartWave SW5250A Working Surplus

Elgar 5161358-01 Distribution Interface PCB Assembly SW5250A Working Surplus

Elgar AT8B-01-04-01-02-4477 Programmable DC Power Supply System AT8000B Working

Elgar W5250A Operator Display Panel PCB Assembly 5161377-01 5161611-02 Working

Elmo Motion Control G-BAS10/230FEHN1A2 Servo Driver Reseller Lot of 5 Working

Elmo Motion Control G-TUB30/480FEHSNA2 Servo Drive AMAT 1080-01355 Working

Emulex PT1010283-04H Processor Board PCB Card PT101049-01 Working Surplus

ENGIPLAST INCORPORATED A194-60MB-PF-TT TURNTABLE PVDF POLYFLOW


为您推荐
供应商网> 工控系统及装备> 其他工控系统及装备> 推送:031-01090-000省市县区域/直送2023全+境+派+送
    在线问
    产品参数
    1/3
    面议 在线咨询
    进店 客服 获取最低报价 拨打电话
    推送:031-01090-000省市县区域/直送2023全+境+派+送
    ¥面议
    • 采购产品
    • 采购数量
    • 联系电话
    《服务条款》 并允许推荐更多供应商为您服务
    请阅读并同意《服务条款》